From da29d148bf1b8e9bb7f68c4d94fc12cc7e41f862 Mon Sep 17 00:00:00 2001 From: Koray Yanik Date: Tue, 8 Feb 2022 16:14:13 +0000 Subject: [PATCH] Update synthflow and adapt makefile --- build/tb_top.Makefile | 8 ++------ synthflow | 2 +- 2 files changed, 3 insertions(+), 7 deletions(-) diff --git a/build/tb_top.Makefile b/build/tb_top.Makefile index e14f81b..2495f61 100644 --- a/build/tb_top.Makefile +++ b/build/tb_top.Makefile @@ -1,11 +1,7 @@ TB = tb_top SOURCES = gb.sv cpu.sv alu.sv registers.sv control.sv decode.sv rom.sv tb_top.sv clkgen.sv +INCLUDES = cpu_pkg.svh PATH_SRC = ../rtl:../rtl/cpu:../rtl/shared:../sim/tbench:../sim/shared -gb.sdb: cpu.sdb rom.sdb cpu_pkg.sdb -cpu.sdb: control.sdb registers.sdb alu.sdb cpu_pkg.sdb -control.sdb: decode.sdb cpu_pkg.sdb -alu.sdb: cpu_pkg.sdb -registers.sdb: cpu_pkg.sdb +include ../synthflow/vivado/Makefile.rules -include ../synthflow/vivado/Makefile.rules \ No newline at end of file diff --git a/synthflow b/synthflow index 767277e..3f8450a 160000 --- a/synthflow +++ b/synthflow @@ -1 +1 @@ -Subproject commit 767277e341012aa54acd72d26be9ea2fc921ca0c +Subproject commit 3f8450ac6a619226060b09f22ac5418537b40d70