module tb_top (); logic clk; logic nreset; clkgen clkgen_inst ( .clk_o (clk), .nreset_o(nreset) ); gb gb_inst ( .clk_i (clk), .nreset_i(nreset) ); endmodule : tb_top