svgb/rtl/cpu/cpu_pkg.svh

11 lines
138 B
Systemverilog

package cpu_pkg;
typedef enum {
ST0_ADDR,
ST1_DEC,
ST2_EXEC,
ST3_INC_ADDR
} state_t;
endpackage