svgb/rtl/cpu/registers.sv

7 lines
95 B
Systemverilog

module registers (
input logic clk_i,
input logic nreset_i
);
endmodule : registers