synthflow/vivado/Makefile.rules

39 lines
785 B
Makefile

TB ?= tb_top
XVLOG ?= xvlog
XELAB ?= xelab
XSIM ?= xsim
vpath %.sv $(PATH_SRC)
vpath %.svh $(PATH_SRC)
.SUFFIXES:
.SUFFIXES: .sv .svh .sdb
.PHONY: all clean sim_build sim sim_gui
all: sim
OBJS=$(subst sv,sdb,$(SOURCES))
xsim.dir/work/%.sdb: %.sv $(INCLUDES)
$(XVLOG) --sv --nolog $<
rm xvlog.pb
xsim.dir/work.$(TB)/xsim.dbg: $(addprefix xsim.dir/work/, $(OBJS))
$(XELAB) --nolog --debug all -Odisable_unused_removal $(TB)
rm -f xelab.pb
sim_build: xsim.dir/work.$(TB)/xsim.dbg
sim: xsim.dir/work.$(TB)/xsim.dbg
$(XSIM) --nolog --runall $(TB)
rm -f xsim.jou xsim_* webtalk*
sim_gui: xsim.dir/work.$(TB)/xsim.dbg
$(XSIM) --nolog --gui $(TB)
rm -f xsim.jou xsim_* webtalk* work.$(TB).wdb vivado_pid*.zip
clean:
rm -rf xsim.dir xsim* webtalk* xelab.pb xvlog.pb .Xil