synthflow/vivado/Makefile.rules

35 lines
686 B
Makefile

TB ?= tb_top
XVLOG ?= xvlog
XELAB ?= xelab
XSIM ?= xsim
vpath %.sv $(PATH_SRC)
vpath %.svh $(PATH_SRC)
vpath %.sdb xsim.dir/work
.PHONY: all clean sim_build sim sim_gui
all: sim
%.sdb: %.sv
$(XVLOG) --sv --nolog $<
rm xvlog.pb
xsim.dir/work.$(TB)/xsim.dbg: $(subst sv,sdb,$(SOURCES))
$(XELAB) --nolog --debug all -Odisable_unused_removal $(TB)
rm -f xelab.pb
sim_build: xsim.dir/work.$(TB)/xsim.dbg
sim: sim_build
$(XSIM) --nolog --runall $(TB)
rm -f xsim.jou xsim_* webtalk*
sim_gui: xsim.dir/work.$(TB)/xsim.dbg
$(XSIM) --nolog --gui $(TB)
rm -f xsim.jou xsim_* webtalk* work.$(TB).wdb vivado_pid*.zip
clean:
rm -rf xsim.dir xsim* webtalk* xelab.pb xvlog.pb .Xil