svgb/rtl/gb.sv

9 lines
83 B
Systemverilog

module gb (
input logic clk_i,
input logic nreset_i
);
endmodule : gb